首页 > 文章中心 > 数字电路的设计方法

数字电路的设计方法

数字电路的设计方法

数字电路的设计方法范文第1篇

【关键词】数字电路课程;实践平台;工程设计;实验

1概述

在教学过程中,具备数字系统设计实践工程能力,涉及相关数字系统课程体系教学与实践,在各高校的电气、电子信息类专业中,数字电路是一门专业基础课程,随着数字技术应用领域的不断扩大,在后续专业课程中,显而易见,随着电子产品数字化部分比重增大,它在数字系统设计中基础性地位越来越突出。

因此,培养适合现代电气、电子、信息技术发展的卓越人才,创新数字电路的课程几次理论与工程实践教学迫在眉睫。

根据我校近几年电气、电子课堂教学的实践情况,数字电路课程应该以面向应用的数字电路设计为核心,在熟练掌握基本电路教学内容的基础上引入先进的数字系统设计方法的课程教学和实践内容。

工程实践过程中,逐步从自底向上的设计方法逐步转变到自顶向下的设计方法中来,以教师科研应用来拓展,以全面培养优秀数字设计卓越技术人才[1]。

2探索构建数字电路教学中的多层次的创新实践平台

2.1多层次的数字电路创新实验平台构思。

面向卓越人才培养的数字电路课程创新实践教学,可以分层次进行在各个教学阶段逐步推进,包括:面向基础的数字设计的基本原理与工程创新实验教学模块、面向应用的数字电路课程设计教学和结合科研项目的创新实践平台[2][6]。

多层次的数字电路创新实验平台架构如图1所示。

2.2数字设计的基础原理与实验教学。

数字电路基础原理和实验教学是数字系统设计的课程体系的基础入门阶段,是培养数字逻辑代数与逻辑电路的重要过程,大类可分为时序逻辑电路和组合逻辑电路,其中时序逻辑电路主要包括:锁存器、触发器和计数器,组合逻辑电路包括,编译码器、多路复用器、比较器、加(减)法器、数值比较器和算术逻辑单元等。教学的目的是训练学生掌握组合和时序逻辑电路坚实理论基础,使学生掌握数字电路的基本概念、基本电路、基本分析方法和基本实验技能,不但要注重基本数字电路与系统设计理论的理解,同时让学生在学习中逐步了解面向应用和现代科技进步数字电路新的设计理念[2][3]。

2.3面向应用的数字电路课程设计实践教学。

随着电子设计自动化技术(EDA)和可编程器件(CPLD)的不断发展和应用,以EDA技术为主导的数字系统理念已经成为企业工程技术的核心。数字电路课程设计主要培养学生利用中小规模数字集成电路器件和大规模可编程器件进行数字电路设计和开发能力。在卓越工程师培养背景下,结合前阶段数字电路课程理论教学和实验教学的实际情况及EDA技术的发展状况,适时进行数字电路课程设计和EDA技术课程的综合衔接,以及课程深度融合[4]。主要内容包括:

2.3.1基于Multisim等相关软件的数字系统仿真实验。可以构建虚拟数字实验系统,不但较好地模拟实物外观外,还可以利用系统提供的实验平台开展实验的设计、仿真,进行实验内容的逻辑验证。

2.3.2基于通用和专用数字芯片的数字系统设计。其主要特点是有很好的直观性和具体性。

2.3.3基于硬件描述语言(HDL)的数学系统硬件描述。采用硬件描述语言实现数字逻辑设计,基于EDA环境仿真和验证。可以结合上述(1)和(2)的优点,采用硬件设计软件化技术应用于数字电路课程设计的实验教学中,通过综合性实验的自行设计和实验,对实验内容、实验规模、实验方法进行了综合创新设计[5]。

2.4结合科研项目的数字设计实验创新平台。

在高等院校,教师即承担教学任务,同时有各自的科学研究方向,同学们可以根据自己的研究兴趣,加入教师的科研团队,形成教学与科研互利的良性循环。面向卓越工程师培养的数字系统设计,可以借助横向或纵向科研项目形成综合教学体系。比如:搭建在线可编程门阵列(FPGA)创新实验平台,形成数字电路、电路线路课程设计、可编程逻辑器件以及集成芯片系统设计,形成面向数字系统设计的课程体系[3]。同时,应用高校与知名企业建立的校企合作平台,把企业界的研究信息和研发需求引入到教学平台,开拓了学生的研究思路和视野,提升了学生设计复杂数字系统的能力;目前,我校正在与国际知名的半导体公司Xilinx、Altera和Cypress陆续建立卓越人才大学培养计划,利用大学设置小学期,在FPGA和PSoC开发平台上进行了面向实际应用的数字系统设计,在实践平台上不仅有学校的任课教师,还有知名企业派来的一线工程师指导同学们的实践,相比改革前,取得很好的实践效果,同学们的数字系统设计水平得到了提高,同时在编程、接口、通信协议等方面也有了深刻的认识。

对于优秀的学生,借助全国各种形式的大学生电子(信息)设计竞赛这个创新平台,组织他们积极参与,激发他们的学习研究兴趣和创新意识,综合所应用的数字系统设计知识,发挥竞赛团队的协作精神。每年,我们都有部分优秀学生通过努力,创新设计的作品获得专业认可,并取得了良好的参赛成绩,也使得数字设计课程体系的建设上了一个新的台阶。

3基于创新平台的课程体系优化与实践

卓越工程师培养要求的数字电路系统设计课程体系协调好相关电气、电子类专业上下游相关理论课程、实验综合性设计同时得到协调发展。如何实践论文所提到的创新实验平台,应该引进现代数字设计理念,重点把EDA软件、设计工具、开发平台与传统的数字电路基础理论教学相衔接。我们在这几年对数字系统设计课程体系、创新实践教学内容等方面的进行了改革与探索,取得了一定的成效。经过这几年的实践,我们逐步构建了面向应用的数字系统设计课程优化体系[5],如图2所示。

4不断探索数字电路理论教学内容的改革与实践

4.1以数字电路设计为目的强化基本逻辑电路理论教学。

在进行复杂数字系统设计之前应该熟练掌握这些常用基本组合和时序逻辑电路,包括电路的功能、电路的描述以及电路的应用场合等。

树立电路设计思想首先需要熟练掌握一些基本的逻辑功能电路。其次,树立电路设计思想需要理论讲解与实践相结合,逐步熟悉硬件描述语言的描述方式。数字系统设计强调采用硬件描述语言来对电路与系统进行描述、建模、仿真等[2][3]。

4.2掌握面向应用的数字系统工程设计方法。

学生在掌握数字电路基本概念和一般电路的基础上,进一步掌握数字系统设计的方法、途径和手段。其主要内容包括:数字系统与EDA的相关概念、可编程逻辑器件、硬件描述语言、电路元件的描述、数字系统的设计方法、开发环境与实验开发平台以及应用实例的介绍等。这些课程内容涉及面较广,为了提高教与学的效果,探索总结了以下的教学重点内容,并作为教学实践中的教学切入点[1]。

随着电子技术不断发展与进步,现代数字系统设计在方法、对象、规模等方面已经完全不同于传统的基于固定功能的集成电路设计[1][2]。现代数字系统设计采用硬件描述语言(HDL)描述电路,用可编程逻辑器件(PLD)来实现高达千万门的目标系统。这一过程需要也应该有先进的设计方法。根据硬件描述语言的特性和可编程逻辑器件的结构特点以及应用的需要,在教学过程中阐述了先进设计方法。例如:采用基于状态机的设计方法设计复杂的控制器(时序电路),应用或设计锁相环或延时锁相环来处理时钟信号,应用自行设计(IPcore)软核来提高数据吞吐量[1][2][3]。

4.3深化数字电路实验教学改革。

实验实践教学过程中,注重基础训练与实践创新相结合的实验教学改革思路,加强学生工程思维训练、新平台工具的使用、遇到逻辑问题的综合分析能力,理论与实践相结合的分析能力。在实践过程中的提高创新性和综合性能力,面向应用的数字电路创新平台建设,需要不断提高课程试验、实验和实践过程在教学中的比例,在符合认知规律的同时,逐步加强来源与实际需要的综合性数字设计实验。

5结语

数字电路是电气、电子信息类专业的一门重要的专业基础课程,论文针对当今卓越工程师培养的要求,以及在教学过程中遇到的主要问题,探讨了面向应用的数字电路课程创新实践平台。提出了多层次的数字电路创新实验平台结构和面向应用的数字系统设计课程优化体系。目的在于,通过课程及相关课程体系改革与创新,使得学生更快、更好的适应现代数字技术发展的需求。

参考文献

[1]孔德明.《数字系统设计》课程教学重点的探讨,科技创新导报,2012.1,173-174.

[2]任爱锋,孙万蓉,石光明.EDA实验与数字电路相结合的教学模式的实践,实验技术与管理,2009.4,200-202.

[3]叶波,赵谦,林丽萍.FPGA课程教学改革探索,中国电力教育,2010,24,130-131.

[4]秦进平,刘海成,张凌志等.电类专业数字系统综合实验平台研制,实验技术与管理,2012.6,75-78.

数字电路的设计方法范文第2篇

关键词:数字电路 教学 课堂教学 实验教学

中图分类号:TN79-4 文献标识码:A 文章编号:1007-9416(2012)09-0121-02

数字电路是电子信息类专业的一门学科基础课程,通过本课程的学习,同学们能够了解数字电子技术的基本概念、数字逻辑电路分析和设计方法,掌握常用集成电路芯片的使用,实现简单数字应用电路设计,为后续有关专业课程学习和解决工程实践中遇到的数字逻辑问题打下良好的基础,培养具有一定创新能力的应用型人才。

数字电路是现代电子系统的必要组成部分,从一般的数字逻辑电路、微处理器控制电路、到复杂的信号处理系统,无不留下数字电路的身影,因此掌握数字电路分析、设计方法和测试方法是电子信息类专业的基本要求。

1、对数字概念的建立是该门课程的重要基础。

数字电路是真正接触数字逻辑、数字概念的第一门课,这部分概念的掌握与否,直接影响到后续课程的学习,比如:微机原理、单片机原理、数字信号处理和EDA等。

(1)逻辑量概念和逻辑运算是数字电路的基础,逻辑量是用来表示事件是否发生的物理量,在具体电路实现上用高低电平来表示逻辑量0和1。逻辑关系表示了事件之间的因果关系,在具体电路方面用各种门电路来实现。

(2)编码方法、二进制概念、算术运算是数字逻辑的具体应用。用多位有序逻辑量排列来表示不同的符号和不同的数就形成了编码,其中二进制是表示数的一种常用方法,这时的0和1也变成了数,但是其运算电路实现仍然是用逻辑电路来实现的。

比如一位全加器就是一个典型的二进制运算器,其运算规则是按照二进制运算进行的,每个变量的值,代表真实的二进制数0和1,但是其实现电路有时按照逻辑电路来实现的。

假设一位全加器的输入信号两个加数分别为Ai,Bi与低位进位Ci-1,输出信号分别为和Si与进位Ci,则得到真值表如下。

由上述逻辑表达式就可以得到一位二进制全加法器,如果有多个这样的二进制全加器就可以实现多位二进制加法器,实现加法运算。

2、组合逻辑电路和时序逻辑电路的分析和设计是数字电路教学的主要内容

组合逻辑电路的分析和设计主要包括各种门电路和一些常用组合逻辑电路,这部分内容是逻辑运算关系的具体实现,也是一些常用小规模集成电路原理理解和应用的具体实现,特别是译码器74LS138和数据选择器74LS151的理解和应用。

时序逻辑电路的分析和设计主要包括触发器原理介绍、由触发器构成的时序电路和中小规模集成电路的应用,这部分内容是数字电路教学的主要内容,特别是用时序电路来解决具体应用问题时,如何把具体问题转换成电路设计问题时一大难点。其中两个重要的集成电路模块是移位寄存器74LS194和异步复位十六进制计数器74LS161。

组合逻辑电路和时序逻辑电路是按照电路中有无触发器来区分的两种电路形式,实际时序逻辑电路中往往肯定包含组合电路,按照一定的分析和设计思路,就可以顺利完成电路的分析和设计。

图一是用译码器和数据选择器分别实现全加器的电路图,我们在输入端用拨动开关来表述不同的输入信号,在输出端用发光二级管来表示输出结果,这样非常直观,利于同学们的理解。

(b)用74LS151数据选择器实现

图1 全加器实现与演示

3、积极改进教学内容,注重应用技能的培养

数字电子技术的发展、电子设计手段的进步已经发生了巨大的变化,但是我们教材的主要内容和20多年前没有大的变化,强调数字技术的基础性,在门电路、集成电路方面花了很多的篇章,这也是现在同学们学习时比较难掌握的部分,但是这一部分也是绝大部分同学今后很少用到的部分。另外一方面,现代设计所需要的CPLD、FPGA知识和HDL语言没有介绍或介绍不够。因此,我们在教学中,弱化门电路和集成电路的教学,强调集成电路的功能和接口条件,在介绍集成电路芯片的同时,介绍其Verilog HDL描述。这样对照硬件和软件进行学习,相互印证,能够得到比较好的效果。这种学习方法,可以适应硬件设计的软件化设计趋势。

4、积极改进理论和实验教学方法,加强动手能力的培养

在数字电路教学中多讲解各种实用电路的设计和实验,可以提高课程教学的效果,帮助同学们理解数字电路理论教学内容,增强同学们感官认识和动手能力。现在数字电路实验特别是多个集成电路芯片的实验因为接线问题,常常影响同学们的实验效果,甚至得不到所需要的结论。另外硬件实验要花费较多的时间资源和硬件资源,并且以后的工作需要更多的是软件仿真工作,因此仿真工作是很多设计过程中不可或缺的一个重要环节。因此在教学过程中我们要求学生掌握Multisim仿真软件。通过老师演示,学生自己仿真,花时间少,可以充分发挥自己的想象。

Mutilsim软件具有非常强大的功能,不仅可以满足数字电路的仿真还可以满足模拟电路的仿真要求,系统提供了大量的信号源和测试设备,使系统的运行看起来非常逼真。系统还可以实现硬件描述语言编程的仿真,还可以进行CPU软件编程程序的仿真,因此建议同学们掌握Mutilsim软件的使用。(如图2)

图2是60进制计数器的电路,图中不仅包含由两个74LS161组成的60进制计数器,还包含了两个数码管驱动电路和两个7段数码管。这样通过仿真软件实现具有下列优点:

(1)可以方便地修改60进制计数器的各种设计方法,只需简单修改就可以实现同步计数电路、异步计数电路、同步置零、异步清零等计数器控制策略;

(2)可以方便地实现其他进制的计数器,如果采用74LS160电路可以更简单;

(3)进一步理解数码管驱动电路的原理和使用方法。

(4)进一步理解数码管的模块的连接方法。

本文针对数字电路课程教学中的数字电路概念、教学内容和教学方法等问题做了比较具体的分析,并用具体实例进行了说明。

参考文献

[1]谢剑斌,李沛秦等.在“数字电子技术”教学中培养学生创新能力.电气电子教学学报,Vol.32,No.6,2010.12.

[2]张振亚.数字电路教改探讨.西南民族大学学报·自然科学版第37卷5,2011.5.

[3]宋伟,朱幼莲.“数字电路”课程设计教学改革探索.江苏技术师范学院学报Vol.17,No.8,2011.8.

数字电路的设计方法范文第3篇

【关键词】数字电路;教学;仿真;层次化

仿真技术使用计算机来完成使电路设计过程中的大部分繁琐的底层工作,为电子工程师提供了理想的设计工具[1],也为课程教学提供了资源丰富的仿真平台。立足于仿真工具的应用,根据教学内容的深度以及学生的能力水平,构建“多层次”的立体化教学平台,有助于数字电路课程教学和学生实践能力发展。

1 数字电路的教学

讲授法和例举法是数字电路课程中两种常用的教学方法,教师对基本定理、方法进行系统的解释和详细分析论证或以典型例题说明元器件和芯片的功能和应用。这两种方法对于学生理解和掌握知识要点是必要的,但目前单纯依赖多媒体课件的教学手段,很难使学生提起兴趣。

数字电路是一门应用型课程,但是课程内容的安排上,侧重于功能相对单一的、孤立的基本逻辑单元的分析和设计,而没有建立系统的观念,大多数学生感觉不能学以实用,在利用具体芯片设计实际电路时束手无策,影响了学习积极性。

传统数字技术中的许多观念、方法和思路已经跟不上日新月异的电子技术的发展步伐,有些甚至是不恰当、低效的,学生把过多的精力投入到这些落伍的知识、失当的理念,在后续课程又要重新纠正,造成效率低下。

在当前 “数字系统设计”方法不断变革的背景下,有必要将新的设计理念融入到教学中[3],将教学内容用更生动的形式展现出来,从而调动学习兴趣,增强实践能力,提高学习效率,以更高的起点、开阔的视野去学习十分重要的后续课程。

2 仿真技术的层次化应用

2.1 基础层

基础层次,主要在数字电路理论课上展开,基于Multisim仿真平成验证性实验以及一些中小规模集成电路的分析与设计。Multisim提供了丰富的、标准化的元器件库以及种类齐全的仿真仪器仪表,可以实现门电路、编译码、显示驱动、触发器、计数器、555定时等典型数字电路的设计和仿真[2]。下面是讲解TTL 与非门工作原理的课堂实例,如图1。

1)Vi=0.296V,即输入接低电平,那么 Q1 导通,Q2、Q4 截止,Q3、D2 导通。Vo=3.954V,输出高电平。

2)通过按键C,不断增加输入电压,可以发现输出缓慢下降但仍为高电平,阀值电压出现在Vi=1.279V时,输出发生跳变至0.849V,输出低电平。

3)当输入大于阀值vth,输出迅速下降,直到0.123V不再变化,输出低电平。

此例中,通过仿真可以验证反相器的输入输出逻辑关系,并进一步测试反相器的传输特性曲线。在Multisim仿真平台上动态演示所学的芯片、电路,能够使知识形象化,学生在课堂上观察电路行为,加深了对教学内容的理解,进而体会应用方法,初步掌握分析设计电路的能力,为后续课程打下坚实基础。

2.2 综合层

在学生掌握课程基本教学内容的基础上,进一步综合培养。在综合性实验、设计性实验、课程设计以及毕业设计等教学活动中,基于EDA开发工具QuartusII仿真设计平台,设计和实现数字系统。

QuartusII是Altera公司在 windows环境下开发的可编程逻辑器件(PLD)综合设计平台,能够提供从设计输入到器件编程的全部功能,以硬件描述语言(HDL)为主要输入工具,实现系统逻辑仿真和时序分析,同时下载到可编程逻辑器件上进行实际的硬件测试和验证,从而完成数字系统的设计[3]。

以“交通灯控制系统”为例,说明教学过程。首先采用经典的设计方法,即通用的集成芯片搭建系统,这是一个集分频器、BCD译码器、计数器、动态扫描电路、多路选择器等常用中小规模集成器件的综合性运用,学生可以熟悉集成器件在系统中的应用,掌握算法状态机设计控制单元电路并且转换系统状态的方法。然后基于可编程逻辑器件分别进行结构级和寄存器级设计。结构级的设计首先将系统根据不同功能划分出多个单元模块,用硬件描述语言描述每一个单元模块,组合起来构成顶层模块,见图2,这种设计方法风格接近实际的硬件结构,是相对抽象的行为级描述的一个合理的衔接,寄存器传输级HDL描述属于行为级描述,根据操作顺序描述系统,由于不涉及具体硬件结构,更加体现了现代数字系统设计的优越性[4]。

在系统的HDL描述中,只在设计的关键点引入有用的硬件描述语言语法构造,采用规范的语言,清晰易懂的设计流程,力图达到举一反三的教学效果。教学效果表明,这种综合性设计课题,使学生能够了解大规模集成器件,通过比较各种设计方法,体会到随着数字系统复杂性的增加EDA软件表现出的强大的电路综合能力,进而探索先进的电路设计构思手段,激发学生实践兴趣。

2.3 创新层

创新层面向有创新思维的学生,依托开放性实验课程、学科竞赛的赛前训练、学生创新基金立项、参与教师科研项目以及校级、部级电子竞赛等各类课外学术科技活动,以团队协作的形式展开,属于电子类课程体系的提高内容。在学习了模拟电路、单片机、C语言、传感器技术等多门电子技术专业课程的基础上,结合多种仿真设计工具的综合应用,进行有特定工程背景的课题设计。

以全大学生电子设计大赛赛题“正弦信号发生器”为例,一种方案的系统框图如图3所示。该设计方案,在单片机开发平台上实现主控制部分的设计;在QuartusII平台上实现直接数字频率合成技术(DDS),并且在数字域设计AM、FM、ASK、PSK四类调制信号;滤波及放大电路的设计中为了达到设计目的,先在multisim平台上通过波特仪仿真出电路在截止频率附近的衰减情况,反复调整参数直到符合设计要求,再搭建硬件电路[5]。各种电子电路仿真设计工具的综合使用,缩短了系统设计周期,硬件电路设计的软件化,也便于电路的升级。

实践表明,研究这些开拓性课题,能够使学生学会用系统的眼光、创新的思路去解决复杂问题,这一过程获得的项目开发经验是在课堂上无法学习到的。

3 结论

数字电路课程不能局限于有限时间内的理论、实验教学,一方面,要在课堂时间内扩展内容,一方面,把学习延伸到课堂外,面向不同层次的学生提供自学和创新的空间。电子设计仿真平台在数字电路课程中的应用,将课内教学、课外自学进行有机结合,使之相互补充,形成相互衔接、灵活配置的教学体系,在坚实基础的同时,注重实践能力和创新意识的培养,适应了当前电子技术的飞速发展对电类课程教学提出的新要求。

【参考文献】

[1]朱娜,张金保,王志强,等.EDA技术实用教程[M].北京:人民邮电出版社,2012.

[2]李娜.虚拟仿真技术在数字电路课程改革实践中的应用研究[J].现代教育技术,2010,20(7):147-150.

[3]赵艳华,曹丙霞,张睿.基于Quartus II的FPGA/CPLD设计与应用[M].北京:电子工业出版社,2009.

[4]康华光.电3子技术基础q数字部分[M].北京:高等教育出版社,2006.

数字电路的设计方法范文第4篇

《数字电子技术》课程是高职院校电子信息类专业的一门核心的专业基础课,课程内容承上启下,《数字电子技术》之前所开课程是《电路分析》和《模拟电子技术》,后续课程是《单片机应用》和《传感器技术》等专业核心课程。本文试图通过几个典型的数字电路设计与制作项目,对《数字电子技术》课程的核心知识点进行有机整合,对教学进行综合化的项目化教学改革。努力培养、激发学生的学习兴趣,提高学生的学习效率。同时教师也要不断提高自己的专业素养,增强自己的项目课程引导能力。通过项目化学习,学生应掌握数字电子技术的基本概念、基本电路、基本分析方法和基本实验技能;应能用所学知识对数字电路进行安装、测试和调试;还能利用所学知识对电路进行正确分析,并能解决相应电路问题,具备一定的综合分析及设计能力。

1 《数字电子技术》项目化教学课程设计

在《数字电子技术》的教学中,我以项目中的实际电子产品为中心,始终围绕项目任务进行数电课程的项目化教学工作。引导学生主动学习、整合应该掌握的所有数电知识点并进行相关实践。通过项目化教学的手段要努力的把理论教学和实践教学有力的统一且融合起来,真正把职业教育中的能力和素质教育落到实处。在教学的过程中,要注意利用学生课内和课外两条主线,相当多的东西是学生独立在课外时间完成,正常上课时间老师要起到引导和答疑的作用。

根据上述课程学习目标,我把《数字电子技术》整合成以下五个项目进行项目化教学。其中,项目四――数字电子钟的设计与制作,是综合性贯穿项目。

项目一:3人表决器的设计与制作。

通过设计一个3人表决器,掌握数字逻辑与门电路的相关知识,初步掌握组合逻辑电路的设计方法。通过制作提高具体门电路集成器件的选择与使用功能。

项目任务如下:任务一:数字逻辑认识;任务二:表示与使用逻辑;任务三:3人表决器的设计与制作;任务四:3人表决器的仿真

项目二:一位十进制加法计算器的逻辑电路设计与制作。

通过设计一个十进制加法器,掌握编码器、译码器、LED显示器、加法器等数字电路常用组合逻辑功能部件的相关知识,掌握使用集成电路设计数字电路的方法。

项目任务如下:任务一:BCD编码器的逻辑电路设计与制作;任务二:译码器的逻辑电路设计与制作;任务三:一位十进制加法器的逻辑电路的设计和制作;任务四:七段数码管显示电路仿真;任务五:全加器的仿真

项目三:高温报警电路的设计与制作。

通过设计一个高温报警电路,掌握三极管的基本应用、74LS147、74LS04和4511等集成电路的综合应用,进一步提高学生中规模集成电路的选择与运用能力。

项目任务如下:任务一:三极管热控电路的设计与制作;任务二:编码电路的设计与制作;任务三:译码显示电路的设计与制作。

项目四:数字电子钟的设计与制作。

通过设计一个能显示时、分、秒的数字电子钟,掌握数字信号产生电路、触发器、计数器的相关知识,深化门电路的知识及运用能力。通过这一综合性较强的训练提高时序逻辑电路的设计能力,提高数字电子产品的制作、检测、调试能力。通过这一项目中的技术文档及产品使用、说明书的编写任务及产品展示活动提高实际工作岗位的适应能力。

项目任务如下:任务一:555振荡器的制作与测试;任务二:CD4060构成产生秒信号产生电路的制作与调试;任务三:校时电路的设计与制作;任务四:秒信号电路的制作和调试;任务五:分信号、小时信号产生电路的设计与制作;任务六:数字电子钟的整机联调;任务七:数字电子钟的PCB制作;任务八:电子设计文档与展示活动

项目五:A/D转换器的设计与制作。

通过设计仿真A/D转换器,掌握数、模量的互相转换相关知识,为学习单片机技术、检测技术等打下良好基础。

项目任务如下:任务一:D/A转换器设计与制作;任务二:A/D转换器的设计与制作;任务三:D/A与A/D转换器仿真电路。

2 结语

数字电路的设计方法范文第5篇

关键词:项目式教学法;自主学习;创新型逻辑思维

中图分类号:G642.41 文献标志码:A 文章编号:1674-9324(2014)45-0277-03

Abstract:According to the characteristic of digital electronic technology in this paper,the projected-based teaching method is applied in the process of teaching in our university,then its scheme and implement is discussed in several aspects including creating situation,modular mission,teachers' guide,teamwork,expanding mission,etc.It has shown that the method is favorable in improving students' ability to solve problems and analyze problems and developing their ability to learning independently and innovative logical cogitation.

Keywords:projected-based teaching method;learning independently;innovative logical cogitation

一、引言

“数字电子技术”课程是电气、电子信息类在电子技术方面入门性质的技术基础课,具有自身完整的理论体系和很强的实践性。本课程通过对常用电子器件、数字电路及其系统的分析和设计的学习,使学生获得数字电子技术方面的基本知识、基本理论和基本技能,培养学生分析和解决问题的能力。通过本课程的学习,为以后深入学习数字电子技术某些领域中的内容以及为数字电子技术在专业中的应用打好基础。

在课程的教学过程中,笔者发现部分学生对知识点的体系结构混淆不清,不能将各个知识点联系起来解一些综合性题目。基于项目活动的研究性学习,项目教学法的突出特点在于:将学习过程的实践和研究活动相融合[1]。项目教学法通过在教学中引入与相应知识点关联的综合案例,使学生在解决问题的过程中领会知识的内涵和应用,实现专业能力与综合能力的有效提升[2]。

二、基于项目教学法的课程建设

“数字电子技术”课程首先让学生了解了数字电路的基础知识及逻辑代数,接着重点让学生熟悉常用的集成电路及其应用。在掌握基础知识的前提下,在课程的教学过程中采用项目教学法,引导并启发学生采用模块化的设计思路对综合性的数字系统进行设计。项目的选择应遵循的原则:(1)难度适中;(2)与现实生活紧密结合;(3)能够激发学生学习兴趣;(4)与专业相关,对专业知识有更深入的理解。

三、项目教学法的实施步骤

1.创造情境,激发学生兴趣。在教学中创建良好的教学环境,激发学生的学习兴趣。例如在课程的“组合逻辑电路设计”教学中,询问学生有没有献过血。通过此问题可以激发学生的好奇心,探究献血和所学知识的相关性;接着询问血型匹配知识。通过此问题调动大家探讨的积极性;最后提出能否利用所学知识设计一个血型匹配判断电路。通过前期的情境培养,使学生对“组合逻辑电路设计”知识产生浓厚的兴趣。

2.围绕主题,逐步深入。学习了典型的时序集成电路后,为了进一步加深学生对集成电路的理解和应用,继而引导学生作进一步讨论:能否用现有知识设计数字电子钟?数字电子钟的设计包含哪些模块?学生对数字电子钟比较熟悉,能够确定数字电子钟需要实现哪些功能。学生通过研究和讨论,设计出数字电子钟的总体结构图,如图1所示。数字电子钟的模块包括:秒脉冲信号产生、计数、译码、校时和显示等基本模块,利用Multisim仿真软件实现各电路模块的独立调试和仿真,再进行系统的级联调试。在此过程中,教师应引导学生思考数字电子钟的关键问题:秒脉冲信号如何产生?时计数电路,即二十四进制计数电路如何设计与实现?分、秒计数电路,即六十进制计数电路如何设计与实现?时(分、秒)译码电路如何设计与实现?时(分、秒)显示电路如何设计与实现?怎样实现对时、分的校准?

3.模块化设计,团队合作。基本设计思路确定以后,进入项目的实施阶段。在对学生进行分组时,应从多个方面考虑团队成员的组合,如知识结构、特长、性格等。确定了小组成员后,明确每位同学职责。项目负责人将项目任务模块化,负责项目的整体组织和协调,确保项目有条不紊地开展;成员两人一组完成子模块的设计与调试;最后以小组为单位,梳理项目,由项目负责人组织编写和完善所有项目文档和报告。在项目的设计过程中,学生参考他人的设计及实现方法时,主要是学习他人的设计方法,如编码、接口和电路的工作原理,而不是原封不动地使用他人的电路。

在项目的方案论证过程中,鼓励学生开展讨论。学生可以通过提方案、相互补充和正反对比等多种探讨思路,对所拟定的方案进行仿真或试验验证。教师在这一环节中力求全面把握学生动向,主动获取学生设计过程中的认知错误,加以指导。最后学生可以得出电子钟每一子模块的设计内容。数字电子钟的第一部分是时间基准,即时钟。学生通过查阅资料发现,为了获得可能的最高精度,时钟电路选择比较常见的32.768kHz的晶振,而32768是2的15次方,所以对这种晶振进行15次分频的话,就可以得到准确稳定的1Hz的标准时钟信号。

数字电子钟的第二部分是秒计数器。秒计数器的工作原理为:给其装载一个初始值并执行减计数至零。当计数到达零时,产生一个时钟脉冲并将其传递给分计数器。在这里,装载的初始值根据需要设定的时间和时钟基准信号来计算,若时钟基准信号为1Hz,则60s的设定时间所需的初始值为60,若时钟基准信号为2Hz,则60s的设定时间所需的初始值为120。也就是说,装载的初始值等于需要设定的时间乘以时钟基准信号。

数字电子钟的第三部分是分计数器,它实现分的计数和显示,且进行小时比较。每当秒计数器减至零时,分计数器加1。电路需包含一个比较电路的8位计数器,以实现分的复位并使小时计数器加1。通过仿真,学生发现,为了保证LED显示的正确性,当复位为零时,设置显示值为59。

数字电子钟的第四部分是时计数器,当分计数器计数到60时,小时计数器加1。在计数器的设计过程中,学生最容易忽略计数器的工作特性,在仿真时就会出现问题。例如,在电子钟设计中计数器选用74LS193时,就要考虑其工作特性,在分计数器的值小于而不是等于60的那一个时刻加1。这样做可以避免使用额外的逻辑运算,来使比较器的输出转化为小时计数器的输入时钟脉冲。小时计数器电路中也应该包含一个比较器,用以检测当前值是否为12(电子钟小时显示为12进制),如果是,立即将小时计数器复位[3]。

4.总结问题,共同研讨。在项目教学实施的过程中,教师在做到整体掌握、全程引导的同时,还要尊重学生的设计,协助学生解决遇到的难题。

如学生在校时电路的设计中遇到了如下问题:校时电路的开关在接通和断开时均存在抖动问题,使电路无法正常工作。这时学生在教师的鼓励、引导下查阅资料,了解到常用的消除抖动的方法:软实现(编程实现)、硬件实现。软实现即处理器查询或者监视开关的状态,当开关在规定时间内没有改变状态时,即认为开关已经不再抖动。常用的硬件去抖动的方法有:(1)使用施密特触发器电路;(2)使用CMOS555定时器;(3)基本RS锁存器电路。利用施密特触发器电路消除抖动时,应确保施密特触发器的门限电压尽可能小,以保证能被电容上的电压触发;当开关存在很多抖动时,最好的方法是采用CMOS555定时器构建单稳态电路来消除抖动。当开关按下时,555定时器可以输出一个稳定的脉冲信号,代替开关来触发实际;利用基本RS锁存器电路,将锁存器的S端接开关输入,R端接应用电路,将开关的状态锁存,当操作完成后取消锁存。学生可以分组,应用不同的方法消除抖动,比较去抖动的效果,确定最佳方案。

学生通过查阅资料,不仅解决了设计中遇到的问题,同时也发散了思维,扩展了知识面。

5.时序仿真,实现目标。学生通过原理图设计,得到了秒脉冲信号、二十四进制计数器、六十进制计数器,通过仿真可以得到其时序图,引导学生总结利用集成计数器芯片实现其他进制计数器的方法,最后通过级联实现数字钟的设计和仿真。每个小组实现项目设计后,教师应对学生作品进行评价,项目组负责人应向全班汇报并展示本组设计的作品,列举在项目实施过程中遇到的问题及解决方案。

6.拓展项目,鼓励创新。在学生实现了项目任务时,教师可以引导学生进行项目的拓展,增强学生的灵活应用能力和创新能力。鼓励学生进行讨论,如现在市场上的电子钟定时有何特点,学生自身对定时功能有何要求等。学生可以通过提方案、互相补充、多方面对比等探讨过程,实现电子钟个性化定时的设计。在这一过程中,学生不仅学会思维探索,而且提高了对知识的理解记忆,为课程学习打下了坚实基础。

不要局限于一套设计方案。在系统设计前,将学生分组,要求每组同学采用不同的方法达到设计目的。例如用数字电路设计一个闪烁式LED时序电路,在设计时序发生器时可以采用以下几种方法:(1)555定时器;(2)慢时钟;(3)快时钟,通过计数器来分频。

四、结语

在“数字电子技术”课程教学改革中,采用项目教学法获得了显著的实际成效。采用基于项目的教学方法,通过创设情境、教师适时引导、学生团队合作及互动交流、项目拓展等,不仅能够全面提高学生分析问题及解决问题的能力,还能够充分调动学生学习的主动性和积极性。教学实践证明,基于项目教学法的“数字电子技术”课程教学改革与实践,对培养应用型、技能型电气信息类专业人才是有效的。

参考文献:

[1]康华光,等.电子技术基础 数字部分[M].第五版.北京:高等教育出版社,2005.

[2]王秀敏,等.项目式教学法在“现代逻辑设计”课程中的应用[J].北京:电气电子教学学报,2011.

[3]Myke Predko.数字电路原理与设计实例解析[M].张晓林,等,译.北京:电子工业出版社,2004.