首页 > 文章中心 > vhdl语言

vhdl语言

vhdl语言

vhdl语言范文第1篇

关键词:VHDL硬件描述语言;电子技术设计;设计流程

VHDL硬件描述语言在数字电路与系统描述、模拟和设计中应用比较普遍,属于电子技术设计的核心[1]。尤其是随着集成电路技术的进步,人们对电子技术设计效率和设计水平的要求不断提升,因此选择合理的硬件描述语言具有必要性,而VHDL硬件描述语言能够有效降低电子技术设计难度,且具有通用性高、支持面广的特征,并获得了市场和电子电力公司的肯定与支持,可以有效促进电子技术设计想着自动化方向发展,缩短开发周期。

1 VHDL语言在电子设计中应用的构成

VHDL(Very-High-Speed Inte-grated Circuit Hardware Description Languagea)硬件描述语言,即超高速集成电路硬件描述语言,主要是应用于数字电路系统性能描述和模拟的语言[2]。VHDL硬件描述语言是在1983年由美国国防部创建,并在后期的发展和应用中,成为硬件描述语言的标准之一。随着IEEE对VHDL硬件描述语言的修订,VHDL硬件描述语言的内容得到的进一步的扩展,主要是从更高的抽象层次和系统描述能力进行扩展。当前VHDL硬件描述语言已经成为通用性硬件描述语言。

VHDL硬件描述语言的语法具有高度严格的特征,与Ada风格比较相近,即一段完整的VHDL代码包含实体语句、构造体语句、配置说明语句等。其中实体语句主要是针对设计单元的外部接口信号进行描述,构造体语句主要是针对设计单元内部的结构和行为进行描述。根据研究可知,利用构造体语句进行设计单元内部功能描述又可以分为三种方式,即行为级描述、寄存器传输级描述和结构描述等。配置说明依据主要是针对选取不同元件构成设计单元版本的描述。此外VHDL代码还包含库说明语句和包说明语句。其中库是进行编译实体、构造体、包和配置数据的存放,而包则是用来存在共享数据类型、常量和子程序等。常见的库类型主要分为IEEE库、STD库、ASIC矢量库等[3]。

2 VHDL语言在电子设计中应用主要特点

VHDL硬件描述语言主要是进行数字系统结构、行为、功能和接口的描述,其与其他语言相比,具有很多明显的优势和特点,主要表现在以下几个方面。

2.1 VHDL硬件描述语言描述能力强

VHDL硬件描述语言具有电路描述能力强和建模能力强等优势,主要表现在其能够从多个层次实现对数字系统的建模与描述,有效简化硬件设计任务,降低硬件设计难度,继而提高电子技术设计效率和设计可靠性。

2.2 VHDL硬件描述语言设计优化能力

VHDL硬件描述语言应用在电子技术设计中,能够脱离具体硬件电路、设计平台等特性,且其电路行为描述能力和系统描述能力优良,且其生命力和应用潜力非常强大,尤其是在语言易读性方便、层次性方面和结构化设计方面等。

2.3 VHDL硬件描述语言全新设计方法

VHDL硬件描述语言主要采用自上而下的设计方法,且包含库,有效应用在电子产品生命周期缩短的环境中,面对重新设计、融入全新技术和改变工艺等,均具有良好的适应性。自上而下的设计方法,可以将较大的系统分解成若干个模块,在分工合作时更加简单方便。此外设计人员在利用VHDL硬件描述语言进行电子技术设计时,可以单纯进行功能的设计,对于其他不会影响功能的因素,且无需耗费太多的精力[4]。

3 VHDL语言在电子设计中的应用策略

在电子技术设计中,VHDL硬件描述语言属于比较高层次的设计方法。技术人员在利用VHDL硬件描述语言进行电子技术设计时,首先要利用VHDL硬件描述语言进行电路系统的表达,并输入至计算机。随后利用HDL综合器对设计进行综合,将软件设计的HDL描述与硬件结构挂钩,实现软件转化为硬件电路。综合的作用主要是将VHDL硬件描述语言转换为低级语言,确保实现与FPGA/CPLD的基本结构相应的网表文件[5]。再利用适配器将综合器产生的网标文件配置目标器件中,产生下载文件。适配器又称之为结构综合器,主要是将网标文件针对的目标器件进行逻辑映射操作,如逻辑分割、优化等。而且由于电子技术设计的模拟仿真主要是在高层上实现,能够进一步提高错误发生效率,提升电子技术设计效率。

仿真主要是利用计算机对设计进行模拟,包含时序仿真、功能仿真。其中时序仿真中,文件包含器件硬件特性参数,即基于真实器件的特性进行仿真,仿真精度高。功能仿真主要是针对逻辑功能进行模式,不涉及具体器件的硬件特性。仿真后,将适配后生成的下载文件或配置文件,利用编程器进行下载,以实现硬件调试和验证。最后需要对硬件系统进行测试,确保设计项目在目标系统上的工作情况满足要求,针对存在错误的地方需要及时进行改进。

4 结束语

综上所述,将VHDL硬件描述语言应用与电子技术设计中,能够实现从多个层次进行数字系统的设计,有效降低设计难度,缩短设计周期,提高设计效率。如今VHDL硬件描述语言在电子技术设计应用中主要表现为自上而下应用方向,体现出逻辑综合和优化的重要性。

参考文献

[1]李要球,卢璐.VHDL硬件描述语言在数字电路设计中的应用[J].实验室科学,2011,05:97-99+103.

[2]夏莉莉.浅议VHDL语言在电子设计自动化中的应用[J].信息安全与技术,2012,07:44-46.

[3]张苹珍,王俊峰,仲涛.VHDL在数字逻辑电路设计中的应用方法[J].信息通信,2012,05:96-97.

vhdl语言范文第2篇

关键词:状态机;Mealy型状态机;VHDL语言

中图分类号:TP391 文献标识码:A 文章编号:1007-9599 (2012) 13-0000-02

数字电路分为组合逻辑电路和时序逻辑电路。组合逻辑电路的输出只与当前输入有关,时序逻辑电路的输出不仅与当前输入有关,还与过去的输入有关。状态机是一种广义的时序电路,它的输出不仅与当前输入信号有关,还与当前的状态有关。

一、状态机有三个基本要素:状态、输入、输出

状态是状态机中最根本的要素。状态机的最基本特点就是寄存器中存储的状态按照一定的条件或规律进行转移,并输出特定信号。

输入信号作为状态机状态转移的条件,即状态机根据输入信号和当前状态决定下一个转移的状态。

输出信号一般由当前状态和当前输入信号决定,也可只由当前状态决定。

二、状态机的特点

(1)状态机的结构模式相对简单,设计方案相对固定。

(2)状态机的VHDL设计层次分明,结构清晰,易读易懂。

(3)就运行速度而言,状态机的每一个状态中可以完成许多并行的运算和控制操作。

(4)就可靠性而言,状态机也具有明显的优势。

三、状态机的分类

状态机可分为有限状态机和无限状态机,在这里只讨论有限状态机。按照输出信号是否与输入信号有关,可将有限状态机分为Moore型和Mealy型。Moore型状态机的输出只与当前状态有关,Mealy型状态机的输出不仅与当前状态有关,还与当前输入有关。Moore型和Mealy型状态机示意图分别如图一和图二所示。

从输出的时序上看,Mealy型状态机的输出是当前状态和所有输入信号的函数,它的输出是在输入变化之后立即发生的,不依赖时钟的同步。Moore型状态机的输出仅为当前状态的函数,状态机的输入发生变化还必须与状态机的时钟同步。由于Mealy型状态机的输出与时钟不同步,因此很容易在输出端产生毛刺,造成不可预料的结果。因此从稳定性的角度讲,Moore型状态机比较好;但是从相应时间角度讲,Mealy型状态机对输入的响应最多可比Moore型状态机早一个时钟周期.在工程实践中,具体电路有具体的设计要求,根据实际情况选择相应的状态机。

四、基于状态机的8路彩灯设计

在我们的生活中,电子彩灯已成为我们装点生活常用的电器,电子彩灯控制电路的设计要求也越来越高。要求我们设计的彩灯花灯品种更多,控制更加方便,灵活性高,可靠性高。采用传统数字电路设计的彩灯控制器电路复杂,设计周期长,精度不高。基于FPGA的电子彩灯设计设计灵活,功能完善。

8路彩灯控制系统分为分频模块和花型循环控制模块。

(1)分频模块:我们在这里选择的时钟脉冲信号是50MHz,由于时钟脉冲的频率太高,所以我们未分频时看到的是8个LED灯全亮,而看不到LED灯闪烁的状态。我们这时将50MHz分频,达到人视觉能感觉的频率,即可看到LED闪烁的效果。

分频程序如下:

(2)花型循环控制模块:花型循环控制模块是系统的核心部分,它主控着8路彩灯的花型。循环彩灯控制模块主要由状态机设计,用状态机设计灵活性强。

按设计的要求实现8种花型:00001111、10000000、01100011、01001111、11000000、11101111、11111111和10010011。用S0,S1,S2,S3,S4,S5,S6,S7来表示8种不同的状态。状态图如下所示。

用状态机设计语句type states来实现,8路彩灯控制模块程序如下:

五、设计拓展

8路彩灯设计系统在实际应用中还可以灵活地修改。如果要改变循环灯的控制花型,可改变s0,s1,s2,s3,s4,s5,s6,s7中所设的数值;如果要改变循环灯的花型数量,可改变状态机中s的数量,如12种花型,可改为s0—s11;还可以修改频率来控制花型闪烁的速度。

六、小结

使用VHDL语言进行8路彩灯电路设计,思路简单,功能明了,灵活性强。在控制电路中采用状态机设计,电路控制灵活,功能便于实现,思路简洁便于修改。比起用常规的单片机设计8路彩灯电路更简单实用。此系统可在分频前在ISE软件下的ISE simulator上进行仿真和验证,并可加上适当的约束条件后,在Spatan3E的开发板验证试验结果。

参考文献:

[1]Kleitz.W.VHDL数字电子学[M].北京:北京希望电子出版社,2008

vhdl语言范文第3篇

【关键词】职业教育 教学改革 项目教学法 VHDL语言

项目教学法是一种切合职业教育特点,采用项目式组织形式实施课程教学的方法。项目教学法把理论与实践教学有机地结合起来,鼓励学生通过实践活动获得知识,激发学生的学习动机,发掘学生的创造潜能,因而得到很多高等职业院校教师和学生的青睐,逐步成为高等职业教育教学改革的发展方向。本文主要介绍项目教学法在VHDL语言与数字电路设计课中的应用。

一、实施项目式教学法的历史背景和原因

当前,随着生产力的发展和技术的提高,用人单位对高素质技能人才的要求也越来越高。然而,在实际教学过程中,高职学生普遍都存在文化基础差,学习自觉性不够或不会寻找较好的学习方法,上课注意力不够集中易开小差,学习被动,尤其对理工科课程的逻辑推理很难适应和接受。另一方面,高职院校的教师自身面临理论与实际结合不够紧密,教学和科研水平不高等方面的缺陷,因此,如何改进教学方法,提高教学质量,提高学生的职业技能,是我们处在教学第一线的老师所必须面对的问题。

VHDL语言与数字电路设计课程是一门理论性和实践性很强的课程,既要求学生有扎实的数字电路功底,又要求有较强的高级程序语言能力,还必须有一定的芯片设计的知识。在传统的教学方法中,一般先介绍VHDL语言与数字电路设计的基础理论,然后进行实践教学。这种教学方法,由于学生缺少对真实职业情境的体验以及必要的经验基础而无法提起兴趣。因此高职生在学习这些课程时普通反映比较“难懂”,而担任该课程的老师也反映“难教”。如果在VHDL语言与数字电路设计课程引入项目教学法,从完成职业任务的需要出发,以提高学生职业技能为目标,通过“先做后学”,“在做中学”,这样可以大大激发学生的学习动机,从而大大提高教学质量。

二、VHDL项目式教学改革的可行性

对一门课程引入项目教学方法,是需要具备一定条件的,并不是所有的课程都非常适合项目式教学。项目教学法首先是要能将整个课程划分为若干个项目。VHDL语言的数字电路设计课的内容主要有逻辑电路设计、程序编写、编译仿真、芯片引脚锁定和下载等,完全能将这些知识点按照从简单到复杂,从单一到综合的原则融入教学的能力目标中,用项目的方式组织起来进行教学。其次,工科的课程的项目式教学还需要一定的教学仪器和场所等条件,就VHDL语言课程来说,必须有专门的电脑机房和多媒体教室,还要有FPGA/CPLD实验开发平台,教师完全可以在带有实验开发系统的机房现场讲解、演示并让学生动手操作。因此,有了这些具备项目教学的基本实验条件,实施项目式教学应该是完全可行的。

三、VHDL语言课程项目式教学的项目设计原则和方法

项目教学法中的项目,不同于实际生产和商业服务中的工程项目,而是指以生产一种具体的、具有实际应用价值的产品或服务为目的的任务。这个任务必须有一定的应用价值,用于学习特定的教学内容,能将教学的理论知识和实践技能结合在一起,学生有独立动手实践的机会,并且还必须有一定的难度。完成这个任务不是已有知识和技能的运用,而是学生利用已有知识在一定范围内学习新的知识和技能,解决过去从未遇到过的实际问题。因此进行项目设计需要把握几个原则。

1.循序渐进的原则

项目设计遵循的第一点是循序渐进过程。这一过程很重要,因为学生在学习过程中是需要成功来激励的。比如,下面的一段程序:

Library ieee;

Use ieee.Std_logic_1164.all;

Entity nand2 is

Port(a,b:in bit;Y:Out bit);

Architecture nand2_1 of nand2 is

Y

End nand2_1;

上面的程序是一个简单的与非门电路的源程序。在学习前,很多同学特别是一些英语基础较差的同学对“Entity”、“Architecture”等单词觉得难“懂”。因此在教学过程中,要根据学生学习基础较差的状况,简单而通俗易懂的介绍与非门电路设计的完整过程,包括Quartus编程软件的基本使用、程序的编写、编译、引脚的锁定、程序的下载等,特别让学生在课堂上动手实践。对“Entity(实体)”、“Architecture(构造体)”,只要先告诉这些只是VHDL语言必须包含的两个基本单元,而“Library ieee”只是VHDL语言中中一些库的集合,类似于UNIX和DOS中的目录,至于“Use ieee.Std_logic_1164.all”则是VHDL语言的包集合,其作用跟C语言中的“Include”相同。学生刚学的时候没有必要详细了解它的确切的含义、具体内容或者适用的范围,只要了解这个与门电路的源程序中,主要的设计就是使用了“Nand”这个“与非”逻辑运算符进行了运算而已。通过这样的讲解学生就不会对“Entity”、“Architecture”等长度较长,且在日常交流中应用比较少单词觉得“发秫”,就会觉得VHDL语言容易“上手”。

2.由单一到综合的原则

项目设计遵循的第二点就是由单一到综合。训练应从单项训练开始,然后再过渡到综合训练。数字时钟的设计,可以先从简单的10进制开始逐渐过渡到60进制,然后再增加清零、调节小时、分钟以及整点报时(甚至音乐报时)以及闹钟等一系列功能,这样可以大地提高学生的动手能力,实操性很强,学生开始进入状态。

3.知识与技能的结合原则

项目设计遵循的第三点是将基本知识与设计技能有机结合。在教学的不同阶段,完成不同基础知识与VHDL程序设计方法的项目教学。如在介绍VHDL最基本知识的项目中,可借助学生过去学过的数字电路知识,使用电路原理图的方法程序设计。如下图所示:

在掌握了VHDL基本知识后,比如VHDL语言程序的基本结构(Entity、Architecture、Configuration等)和VHDL语言的描述方式时,项目就可以用RTL、行为描述等方式来设计。

根据项目设计的原则,因此选择数字时钟、交通灯的控制这些人们熟悉且随处可见对象作为项目教学的主要内容,是十分适合的。表1列出了VHDL语言项目式教学的部分项目:

四、VHDL语言项目课程组织过程和教学过程

在项目教学法中,项目的选取是关键。教学的整个过程和所有的内容都要以项目来贯彻,项目确定后,整个教学过程也就确定下来。在项目实施中,学习过程成为一个人人参与的带有创造性的实践活动,它注重的不是最终的结果,而是完成项目的过程,这就要求尽可能地确立优秀实用的项目。

VHDL语言在传统的教学过程中,一般来说,是要先利用一次课的时间介绍VHDL语言的基本知识,再在课堂上介绍VHDL语言进行数字逻辑电路设计的方法,但不会让学习动手实践,因为传统的教学观念认为,刚接触这个课程的学生还不掌握VHDL基础知识,根本不具备编写程序进行数字电路设计的理论基础和能力。接下来,就会花大量的课时介绍VHDL语言程序的基本结构、数据类型、运算操作符、语言的描述方式、主要描述语句等,再介绍VHDL的编程语言(如Quartus)的使用方法,这样经过漫长的理论介绍,最后才进行一些实验。在这个教学过程中理论和实践的教学完全是割裂的,而且教师处于主体地位,完全是教师教,学生听的方式。这种方法,对激发学生的学习兴趣,提高学生的技能,甚至对学生知识点的掌握方面效果都不会太好。因此,VHDL语言课中采用项目式教学方法,将完全不同于上面的教学方法。

下面,从表1中选项目编号为3的项目为例介绍VHDL语言的项目教学过程。

该项目的要求如下:在举重比赛中,有两名副裁判,一名主裁判。当两名以上裁判(必须包括主裁判在内)认为运动员上举杠铃合格,按动电钮,裁决合格信号灯亮,要求实现该电路。这个项目完全是具有实际应用背景的实例,学生们都比较熟悉,也简单易懂。因此这个例子具有一定的典型性,通过这个例子既可让学生掌握一些理论知识,又能提高学生进行实际项目设计的能力。

1.明确本项目的工作任务

在这个项目中,首先交代本项目的工作任务就是要实现三人表决的功能,同时介绍表决器电路设计过程中,首先要进行要逻辑的抽象,然后确定设计的方法,这时提示学生用行为描述方式、RTL方式、结构化(元件例化)等方式来实现。

2.制定工作计划

项目任务确定后,要求学生分别对项目进行讨论,查找资料,制定项目工作计划,确定工作步骤和程序。对学生设计的电路,教师不急于给出评价,而是让他们分别介绍自己设计的电路、应用到的知识及工作原理,并可以让学生开始在课堂上讨论,而教师则作适时引导。对一些数字电路基础较好的学生,鼓励他们利用元件例化的设计方法进行设计;对程序编写水平较好则鼓励他们利用RTL的方式;对那些基础较差的同学则可以鼓励他们用列出真值表进行设计。如有些学生设计的电路有问题,教师就引导他再看相应的理论知识,让他慢慢理解,并且不断鼓励,使学生能够完善自己的作品。

3.实施计划

项目设计工作计划完成,即可以进入实施的阶段。在这个阶段,要求学生编好完整的VHDL语言程序,并在Quartus软件中调试,编译、仿真,遇到程序出错误的时候,要学生独立分析原因,找出改进的方法,但是遇到难点问题及时给予指导。

4.检查评价

项目设计完成后,要学生把程序下载到康芯GW48-PK2型FPGA/CPLD实验开发平台中演示结果,并由学生操作和讲解,然后再检查评分,并指出项目活动存在的问题及改进方法。

在教学过程中,为使学生具备对所学知识的综合运用能力,在教学过程中插入了一些综合性的、相对较为复杂的项目,如秒表的制作。这个项目涉及带使能端、复位端、进位端的十进制计数器的设计等。另外,为了拓展设计的思路还引入了RAM的设计以及8051单片机IP核的设计项目。通过这些项目,使学生能够综合运用所学知识,效果显著。

五、总结

VHDL语言及数字电路设计课程本身一门比较新的课程,对其进行项目式教学对高职业院校的老师来说是更是一个挑战。尽管这种教学法的优势已充分显现,但在VHDL语言课项目教学过程还需要注意一下几点:

1.在完成项目的过程中,不能忽视本课程知识点的掌握,应该充分吸取传统教学方法的长处,取长补短,让学生在完成项目的过程中,即提高了技能又巩固了相关的知识点。

2.在项目教学中,强调学生学习的主体性,但老师始终要起主导作用,在这一过程中教师的责任不是减轻反而是加重了,因此在整个教学过程中,教师要充分地了解学生,在学生学习遇到困难时,能为学生解决;在学生学习不够主动时,应及时提出问题,引导学生去探究;在学生完成基本项目后,调动学生的创作欲望,进一步完善项目创作。

3.在项目教学过程中,一些基础较差的学生可能对老师交代的任务无从下手,这时教师要加强对这部分学生的个别指导。

参考文献:

[1]徐国庆.职业教育课程、教学范式的演变.河南职业技术师范学院学报[J].2002,(6):34-36.

[2]史小波.集成电路设计VHDL教程[M].清华大学出版社,2005,(6):1-3.

[3]豆玉杰.项目教学法在《电子技术》教学中的应用.河南教育[J].2006,(3):80-81.

[4]侯伯亨,顾新.VHDL硬件描述语言与数字逻辑电路设计(修订版)[M].西安:西安电子科技大学出版社,1999.133-134.

[5]姜新桥.PLC项目初步探讨.武汉职业技术学院[J].2007,(6):26-27.

[6]袁丽娟.“项目导入法”在VHDL教学中的应用.机械职业教育[J].2007(4):58-59.

vhdl语言范文第4篇

本书针对FPGA平台上的数字系统设计对VHDL进行了逐步描述。前半部分介绍了VHDL中有关组合开关电路设计的一些基本概念和工作方式,后面章节讨论了VHDL中时序电路的行为描述和设计方法。书中所举的例子是针对两个FPGA平台而设计的,其中一个平台已广泛应用于世界各地,而另一个平台是由巴西的一个公司研发的。

全书由11章组成:1.介绍了数字系统和FPGA技术的基本概念,讲述了设计和仿真一个数字电路的分步操作流程;2.首先介绍了VHDL设计的基本结构,然后重述了基于FPGA平台的VHDL设计流程,但是本章的输入描述采用的是一种硬件描述语言而不是第一章所讨论的原理图;3.介绍了数字系统中分层设计的概念和实验操作方法;4.讲述了电路组件中的多路转接器和信号分离器的概念以及它们在电路设计中的使用方法;5.代码转换器:主要介绍了编码器和解码器的工作原理和其在具体应用中的设计方法;6.介绍了时序电路、锁存器和触发器的概念和工作原理,分析了锁存器和触发器的区别以及它们在VHDL设计中的使用方法;7.主要介绍了有限状态机的基本概念和其在VHDL设计中的合成过程,并讲述了基于有限状态机设计一个计数器的方法;8.介绍了数据通路和控制单元的概念和基于有限状态机设计一个控制单元的过程,讲述了设计一个自动售货机控制器的例子;9.分析了隐式进程和显式进程的区别,讨论了它们在设计组合电路和时序电路中的使用方法;10.运算电路:主要讲述了加法器的基本知识和使用结构硬件描述语言进行加法器设计的过程;11.介绍了基于FPGA设计的可综合VHDL代码的编写策略。

本书是以一种边做边教的方式来进行编写的,这种方法是基于作者针对这一主题20多年成熟的教学经验而总结出来的。本书内容简洁清晰,层析分明,通俗易懂,可作为通信工程、电气工程、控制工程等相关专业的研究生和高年级大学生教材,也可作为相关领域的研究人员很好的参考书。

vhdl语言范文第5篇

关键词:数字电子电路;VHDL;EDA技术;可编程芯片

中图分类号:TN702 文献标识码:A 文章编号:1009-2374(2013)19-0051-02

大规模集成电路的出现以及计算机技术的不断更新换代与广泛应用,促使数字电子电路的设计已经发展到了一个更新的领域,用以下四方面便足以显示:(1)硬件电路的设计越来越趋向软件化;(2)数字电路发展愈加芯片化;(3)电子器件以及与其相关的技术研发越来越多地朝着服务于EDA的方向;(4)电路设计技术的发展趋势朝着更加规范化、标准化的HDL硬件描述语言及EDA工具的推广使用上。当今的技术发展趋势要求从业人员在进行数字电子电路设计过程中,必须具备运用电子计算机以及操作超大规模的可编程逻辑器件的能力。本文通过对以EDA与VHDL为基础的数字电子电路开发设计过程进行简要叙述,为该技术的推广运用,做出必要的文献研究支撑。

1 EDA技术概述以及其开发设计流程

1.1 EDA技术的基本知识

EDA技术指的是以计算机为工作平台,将应用信息处理、计算机技术、智能化技术及电子技术进行融合的最新成果,进行电子产品的自动设计。20世纪60年代中叶,随着技术水平的不断进步逐渐,该技术结合了CAM、CAT、CAD以及CAE的综合优势被逐步发展出来。

与其前身相比,EDA在以下五方面拥有着十分明显的优势:(1)EDA技术能够对目标进行现场编程,并即时地实现在线升级。(2)硬件电路设计过程中采用软件设计的方式,通过输入波形、原理图、编程语言等指令,可以在进行硬件设计、修改、检测中,不涉及任何硬件工具进行特定作业。(3)产品直面设计自动化。EDA技术能够自动地根据设计输入的电路原理图或者HDL进行逻辑编译、适配、布局、优化等一系列工序调整并生成符合要求的目标系统。换句话说,就是运用电路功能完成对电子产品的测试、仿真、优化全程操作。(4)EDA技术的经济实用性更加科学、合理,不仅设计成本保持在较低水平,设计的灵活性也大大提高,同时新技术的开发周期也明显缩短。(5)集成化程度更加完善。EDA设计方法,还有另外一种称呼:利用芯片进行设计的方法,在集成芯片日益大规模的发展背景下,利用EDA技术,可以实现在芯片上构建系统的目的。

1.2 EDA技术的开发设计流程

EDA技术在设计方法上,通过对以往的“电路设计硬件搭试调试”模式进行革命化的转变,代之以计算机自动化完成的模式(如图1),完成了数字电子电路设计的巨大飞跃。

笔者将EDA技术设计过程中两个最基本的组成部分设计载体:可编程逻辑器件PLD以及设计输入:硬件描述语言VHDL进行具体介绍。

2 可编程逻辑器件PLD

可编程逻辑器件(Programmable Logic Device,PLD)是一种电子零件、电子组件,简而言之也是一种集成电路、芯片。PLD芯片属于数字型态的电路芯片,而非模拟或混讯(同时具有数字电路与模拟电路)芯片。PLD与一般数字芯片不同的是:PLD内部的数字电路可以在出厂后才规划决定,有些类型的PLD也允许在规划决定后再次进行变更、改变,而一般数字芯片在出厂前就已经决定其内部电路,无法在出厂后再次改变。

3 硬件描述语言VHDL

3.1 VHDL的基本知识

VHDL全名Very-High-Speed Integrated Circuit Hardware Description Language,在电子工程领域,已成为事实上的通用硬件描述语言。具有以下特点与优势:(1)更强大的行为描述能力。有效避免具体的器件结构,为实现超大规模的电子系统设计与描述打下坚实基础。(2)适用范围多样,且易于操作修改。VHDL采用国际通用的编程语言,能够很好地适用于不同版本的EDA工具,为操作者进行使用和修改提供了极大的便利。(3)设计描述过程独为一体。编程人员能够在对设计最终目标以及其他设计领域不甚了解的情况下,完成自身的编程操作工序。(4)使用EDA工具可以十分方便地对VHDL语言进行进一步的优化提升,由于EDA工具对其的可识别性,EDA可以实现对VHDL的设计语言重新进行整合、升级,并用门级网表将其表示出来。

3.2 基于VHDL的设计实例

用一个简单的状态机举例说明

4 结语

通过使用精简描述语言VHDL进行数字电子电路设计,不仅能够完成各种逻辑复杂、规模庞大的数据运算,更可以有效地将设计周期大大缩短,提高整个系统的可靠性以及集成度。

参考文献

[1] 于玲.EDA应用课程教学改革分析[J].辽宁工业大学学报(社会科学版),2012,(1):136-138.

[2] 王彩凤,胡波,李卫兵,杜玉杰.EDA技术在数字电子技术实验中的应用[J].实验科学与技术,2011,(1):782-783.

[3] 李晓敏,徐涛.EDA技术在“数字电子技术”课程中的应用[J].中国科技信息,2011,(9):167-169.

相关期刊更多

电子设计技术

部级期刊 审核时间1个月内

中华人民共和国信息产业部